当前位置: 代码迷 >> 综合 >> vivado dds Compiler v6.0 学习笔记
  详细解决方案

vivado dds Compiler v6.0 学习笔记

热度:48   发布时间:2023-12-11 22:15:47.0

最近在调试DA芯片AD9125正好需要测试输出正弦波,看了下VIVADO有自带的IP可以产生正弦波和余弦波,正好拿过来学习学习。

看官方手册也是挺多的,看了老半天也没完全看懂怎么用,在网上搜了相别人写的博客:

  1. https://blog.csdn.net/u013215852/article/details/91042672 (Vivado DDS IP核使用和仿真(一、单通道信号发生器))
  2. https://blog.csdn.net/u013215852/article/details/91044141(Vivado DDS IP核使用和仿真(二、多通道信号发生器))

用dds Compiler v6.0 IP可以生成正弦波和余弦波。
系统时钟80Mhz,1个通道,数据位宽16bit,相位位宽16bit,输出频率1Mhz的正弦波和余弦波生成方式如下:
在这里插入图片描述
Spurious Free Dynamic Range(dB) ,它决定了输出波形数据的位宽,公式:SFDR = Output Data Width x 6 =16*6=96
在这里插入图片描述
Frequency Resolution,它决定了相位的位宽,当相位位宽为16时,计算公式如:
F= fCLK/(2 ^ phase width ) = 80_000_000/(2^16)=1220.703125(注意小数位要全部填上)
在这里插入图片描述
第二个界面按着这个选择即可:
在这里插入图片描述
这个选择默认:
在这里插入图片描述
输出频率根据需要进行输出:

  相关解决方案