当前位置: 代码迷 >> 综合 >> 【SystemVerilog基础】SystemVerilog Assertion 快速上手指南(上)重点掌握sequence和property用法
  详细解决方案

【SystemVerilog基础】SystemVerilog Assertion 快速上手指南(上)重点掌握sequence和property用法

热度:52   发布时间:2023-12-13 00:49:20.0

文章目录

  • 一、断言简介
    • 1.1.断言分类——立即断言/并发断言
    • 1.2.断言的语法结构层次
  • 二、并发断言序列sequence
    • 2.1. 关键字(sequence、property)与操作符( |=>、|->)
    • 2.2. sequence的重复操作符——连续[*n]、非连续[=n]、跟随[->n]
    • 2.3. sequence序列采样函数——$ rose、$ fell、$ past、$ stable、$ sampled
    • 2.4. sequence序列操作符——and、intersect、or、first_match、within、throughout、ended
  • 三、并发断言属性property
    • 3.1、assert、assume、cover
    • 3.2. disable iff 与not用法
    • 3.3. 多时钟属性
  相关解决方案