当前位置: 代码迷 >> 综合 >> 【SystemVerilog基础】function/task 使用说明
  详细解决方案

【SystemVerilog基础】function/task 使用说明

热度:69   发布时间:2023-12-13 01:01:29.0

文章目录

  • 一、function与task的区别
    • 1.1、真题
  • 二、子程序返回

一、function与task的区别

函数function 任务task
执行与消耗 不消耗仿真时间 消耗仿真时间
仿真控制语句 函数中不能含有控制仿真时间的语句
如时间延迟#100,阻塞语句@(posedge clk)等
任务中可以包含仿真时间控制语句
如延迟#100,时钟周期@(),wait()以及事件event等语句
返回形式 函数的返回可以通过调用return语句实现,当不需要返回值时,可以将函数定义为void类型 任务没有返回值。一般通过output信号直接输出,也不需要关键字void【如果在task中使用return,会直接退出task】
形参变量 函数中至少包含1个输入变量进行传参(实践操作得:sv中function可以没
  相关解决方案